Вконтакте Facebook Twitter Лента RSS

Графический техпроцесс. Что такое техпроцесс в процессоре — поговорим об изготовлении

Представившая два года назад 7-нм чипы IBM этим летом продемонстрировала следующую ступень эволюции устройства. 5-нм чип вмещает 30 млрд. транзисторов и будет обслуживать Интернет вещей и искусственный интеллект. По словам представителей IBM, разработка подтверждает так называемый закон Мура. В 1965 г. один из основателей Intel Гордон Мур предсказал, что количество транзисторов, размещаемых на кристалле интегральной схемы, будет удваиваться каждые 24 месяца. По мнению Мура, при сохранении этой тенденции мощность вычислительных устройств за относительно короткий промежуток времени может вырасти экспоненциально.

Однако все чаще раздаются опасения, что вскоре закон перестанет действовать. Указанием на это стало то, что Intel замедлила освоение новых техпроцессов. До 2016-го корпорация, планируя выпуск новых продуктов, придерживалась так называемой стратегии «тик-так». Впервые она была анонсирована на IDF 2006 и с тех пор стала основой операций компании в сфере производства x86-совместимых процессоров. При этом «тик» означает переход на новый техпроцесс и минорные изменения в архитектуре, а «так», напротив, подразумевает выпуск процессоров с новой архитектурой, но на базе имеющегося техпроцесса. Изначально было задумано, что каждая часть цикла должна была занимать примерно год, но по мере снижения размеров элемента в новых техпроцессах Intel столкнулась с рядом серьёзных трудностей.

14-нм техпроцесс удалось разработать и реализовать достаточно успешно: цикл «тик» пришёлся на архитектуру Broadwell, слегка усовершенствованную наследницу Haswell, но использующую более тонкие производственные нормы. Следующий цикл, «так», пришёлся на разработку и выпуск микроархитектуры Skylake, использующей тот же техпроцесс, что и Broadwell. Очевидно, что «тик-так» больше не работает как задумано: качание маятника от одного состояния к другому теперь занимает не год, а как минимум около полутора лет. Intel признала это официально и заявила о конце эры «тик-так», а также о продлении жизненного цикла 14-нм технологии.

Опасения по поводу продолжительности действия закона Мура имеются у MediaTek. Как сообщает тайваньское издание DigiTimes, с таким прогнозом выступил председатель правления компании Цзай Мингай. По его мнению, нынешние литографические технологии упрутся в физические пределы после ещё двух поколений. То есть, будут освоены 5- и 3-нм техпроцессы, а дальше у полупроводниковой отрасли возникнут проблемы. Как они будут решены, Мингай объяснять не стал. (Попутно он призвал разделять понятия «искусственный интеллект» и «машинный интеллект». Под первым Мингай подразумевает системы, которые решают знакомые человеку задачи. Машинный же интеллект призван решать проблемы, которые человеческому разуму не по силам.)

Тем временем к границам возможностей современных техпроцессов подбираются конкуренты MediaTek. Этим летом Samsung перешла с 14- на 10-нм техпроцесс. Например, чип Exynos 8895 в смартфонах Galaxy S8 производится уже по 10-нм техпроцессу. Как считает Samsung, в будущем гонка техпроцессов немного замедлится. Следующим этапом станет переход на 8 нм. В результате увеличатся плотность расположения транзисторов и производительность чипов. Но с нынешними технологиями Samsung не сможет достичь меньших размеров структур, поэтому 8-нм техпроцесс станет последним на текущих технологиях.

Следующий техпроцесс впервые будет опираться на глубокий ультрафиолет (EUV). Samsung начнет переход на EUV с 7-нм техпроцесса. В остальном будет использоваться нынешний техпроцесс FinFET, в том числе для 6- и 5-нм чипов. И только с 4-нм чипами техпроцесс будет полностью изменен. С данного поколения Samsung планирует перейти на Multi Bridge Channel FET. Даная технология способна преодолеть физические ограничения FinFET. В качестве основы будет использоваться наноматериал.

В сентябре о планах перехода на 7-нм технроцесс заявил крупнейший в мире контрактный производитель чипов TSMC. Правда пока речь идёт только о серверных процессорах. Как и Samsung, TSMC намерена использовать фотолитографию в глубоком ультрафиолете. В своём заявлении компания указала, что переход на 7-нм техпроцесс позволит сделать чипы на 70% мощнее, снизить энергопотребление на 60% и в то же время поднять тактовую частоту на 30%. Решения будут основаны на ARMv8.2 и архитектуре DynamIQ, ядра - объединены с помощью CMN-600. Кроме того, в них будут использованы контроллер памяти DDR4 и интерфейс PCI Express 3.0/4.0.

Этапы технологического процесса

Пластина монокристаллического кремния с готовыми микросхемами

Технологический процесс производства полупроводниковых приборов и интегральных микросхем (микропроцессоров , модулей памяти и др.) включает нижеследующие операции.

  • Механическую обработку полупроводниковых пластин - получают пластины полупроводника со строго заданной геометрией, нужной кристаллографической ориентацией (не хуже ±5 %) и классом чистоты поверхности. Эти пластины в дальнейшем служат заготовками в производстве приборов или подложками для нанесения эпитаксиального слоя.
  • Химическую обработку (предшествующую всем термическим операциям) - удаление механически нарушенного слоя полупроводника и очистка поверхности пластины. Основные методы химической обработки: жидкостное и газовое травление, плазмохимические методы. Для получения на пластине рельефа (профилирование поверхности) в виде чередующихся выступов и впадин определённой геометрии, для вытравливания окон в маскирующих покрытиях, для проявления скрытого изображения в слое экспонированного фоторезиста , для удаления его заполимеризированных остатков, для получения контактных площадок и разводки в слое металлизации применяют химическую (электрохимическую) обработку.
  • Эпитаксиальное наращивание слоя полупроводника - осаждение атомов полупроводника на подложку, в результате чего на ней образуется слой, кристаллическая структура которого подобна структуре подложки. При этом подложка часто выполняет лишь функции механического носителя.
  • Получение маскирующего покрытия - для защиты слоя полупроводника от проникновения примесей на последующих операциях легирования . Чаще всего проводится путём окисления эпитаксиального слоя кремния в среде кислорода при высокой температуре.
  • Фотолитография - производится для образования рельефа в диэлектрической плёнке.
  • Введение электрически активных примесей в пластину для образования отдельных p- и n-областей - нужно для создания электрических переходов, изолирующих участков. Производится методом диффузии из твёрдых, жидких или газообразных источников, основными диффузантами в кремний являются фосфор и бор .
Термическая диффузия - направленное перемещение частиц вещества в сторону убывания их концентрации: определяется градиентом концентрации. Часто применяется для получения введения легирующих примесей в полупроводниковые пластины (или выращенные на них эпитаксиальные слои) для получения противоположного, по сравнению с исходным материалом, типа проводимости, либо элементов с более низким электрическим сопротивлением. Ионное легирование (применяемое при изготовлении полупроводниковых приборов с большой плотностью переходов, солнечных батарей и СВЧ-структур) определяется начальной кинетической энергией ионов в полупроводнике и выполняется в два этапа:
  1. в полупроводниковую пластину на вакуумной установке внедряют ионы
  2. производится отжиг при высокой температуре
В результате восстанавливается нарушенная структура полупроводника и ионы примеси занимают узлы кристаллической решётки.
  • Получение омических контактов и создание пассивных элементов на пластине - с помощью фотолитографической обработки в слое оксида, покрывающем области сформированных структур, над предварительно созданными сильно легированными областями n + - или p + -типа, которые обеспечивают низкое переходное сопротивление контакта, вскрывают окна. Затем, методом вакуумного напыления всю поверхность пластины покрывают слоем металла (металлизируют), излишек металла удаляют, оставив его только на местах контактных площадок и разводки. Полученные таким образом контакты, для улучшения адгезии материала контакта к поверхности и уменьшения переходного сопротивления, термически обрабатывают (операция вжигания). В случае напыления на материал оксида специальных сплавов получают пассивные тонкоплёночные элементы - резисторы, конденсаторы, индуктивности.
  • Добавление дополнительных слоев металла (в современных процессах - около 10 слоев), между слоями располагают диэлектрик (англ. inter-metal dielectric , IMD) со сквозными отверстиями.
  • Пассивация поверхности пластины. Перед контролем кристаллов необходимо очистить их внешнюю поверхность от различных загрязнений. Более удобной (в технологическом плане) является очистка пластин непосредственно после скрайбирования или резки диском, пока они ещё не разделены на кристаллы. Это целесообразно и потому, что крошки полупроводникового материала, образуемые при скрайбировании или надрезании пластин, потенциально являются причиной появления брака при размалывании их на кристаллы с образованием царапин при металлизации. Наиболее часто пластины очищают в деионизированной воде на установках гидромеханической (кистьевой) отмывки, а затем сушат на центрифуге, в термошкафу при температуре не более 60° C или инфракрасным нагревом. На очищенной пластине определяются дефекты вносимые операцией скрайбирования и разламывания пластин на кристаллы, а также ранее проводимых операциях - фотолитографии, окислении, напылении, измерении (сколы и микротрещины на рабочей поверхности, царапины и другие повреждения металлизации, остатки оксида на контактных площадках, различные остаточные загрязнения в виде фоторезиста, лака, маркировочной краски и т.п.).
  • Тестирование неразрезанной пластины. Обычно это испытания зондовыми головками на установках автоматической разбраковки пластин. В момент касания зондами разбраковываемых структур измеряются электрические параметры. В процессе маркируются бракованные кристаллы, которые затем отбрасываются. Линейные размеры кристаллов обычно не контролируют, так как их высокая точность обеспечивается механической и электрохимической обработкой поверхности (толщина) и последующим скрайбированием (длина и ширина).
  • Разделение пластин на кристаллы - механически разделяет (разрезанием) пластину на отдельные кристаллы.
  • Сборка кристалла и последующие операции монтажа кристалла в корпус и герметизация - присоединение к кристаллу выводов и последующая упаковка в корпус, с последующей его герметизацией.
  • Электрические измерения и испытания - проводятся с целью отбраковки изделий, имеющих несоответствующие технической документации параметры. Иногда специально выпускаются микросхемы с «открытым» верхним пределом параметров, допускающих впоследствии работу в нештатных для остальных микросхем режимах повышенной нагрузки (см., например, Разгон компьютеров).
  • Выходной контроль (англ. ), завершающий технологический цикл изготовления устройства весьма важная и сложная задача (так, для проверки всех комбинаций схемы, состоящей из 20 элементов с 75 (совокупно) входами, при использовании устройства работающего по принципу функционального контроля со скоростью 10 4 проверок в секунду, потребуется 10 19 лет!)
  • Маркировка , нанесение защитного покрытия, упаковка - завершающие операции перед отгрузкой готового изделия конечному потребителю.

Для выполнения требований электронной производственной гигиены строят особо чистые помещения («чистые комнаты»), в которых люди могут находиться только в специальной одежде

Технологии производства полупроводниковой продукции с субмикронными размерами элементов основана на чрезвычайно широком круге сложных физико-химических процессов: получение тонких плёнок термическим и ионно-плазменным распылением в вакууме, механическая обработка пластин производится по 14-му классу чистоты с отклонением от плоскостности не более 1 мкм, широко применяется ультразвук и лазерное излучение , используются отжиг в кислороде и водороде, рабочие температуры при плавлении металлов достигают более 1500 °C, при этом диффузионные печи поддерживают температуру с точностью 0,5 °C, широко применяются опасные химические элементы и соединения (например, белый фосфор).

Всё это обусловливает особые требования к производственной гигиене, так называемую «электронную гигиену», ведь в рабочей зоне обработки полупроводниковых пластин или на операциях сборки кристалла не должно быть более пяти пылинок размером 0,5 мкм в 1 л воздуха. Поэтому в чистых комнатах на фабриках по производству подобных изделий все работники обязаны носить специальные комбинезоны. . В рекламных материалах Intel спецодежда работников получила название bunny suit («костюм кролика») .

Техпроцессы более 100 нм

3 мкм

3 мкм - техпроцесс, соответствующий уровню технологии, достигнутому в 1979 году Intel . Соответствует линейному разрешению литографического оборудования, примерно равному 3 мкм.

1,5 мкм

1,5 мкм - техпроцесс, соответствующий уровню технологии, достигнутому Intel в 1982 году. Соответствует линейному разрешению литографического оборудования, примерно равному 1,5 мкм.

0,8 мкм

0,8 мкм - техпроцесс, соответствующий уровню технологии, достигнутому в конце 1980-х - начале 1990-х годов компаниями Intel и IBM .

  • Intel 80486 (1989 год)
  • MicroSPARC I (1992 год)
  • Первые Intel P5 Pentium на частотах 60 и 66 МГц (1993 год)

0,6 мкм

Техпроцесс, достигнутый производственными мощностями компаниями Intel и IBM в 1994-1995 годах.

  • 80486DX4 CPU (1994 год)
  • IBM/Motorola PowerPC 601, первый чип архитектуры PowerPC
  • Intel Pentium на частотах 75, 90 и 100 МГц
  • МЦСТ-R100 (1998 г., 0,5 мкм, 50 МГц)

0,35 мкм

350 нм - техпроцесс, соответствующий уровню технологии, достигнутому в 1997 году ведущими компаниями-производителями микросхем, такими как Intel, IBM, и TSMC . Соответствует линейному разрешению литографического оборудования, примерно равному 0,35 мкм.

  • МЦСТ-R150 (2001 г., 150 МГц)

0,25 мкм

250 нм - техпроцесс, соответствующий уровню технологии, достигнутому в 1998 году ведущими компаниями-производителями микросхем. Соответствует линейному разрешению литографического оборудования, примерно равному 0,25 мкм.

слоев металла до 6. минимальное количество масок 22

0,18 мкм

180 нм - техпроцесс, соответствующий уровню технологии, достигнутому в 1999 году ведущими компаниями-производителями микросхем. Соответствует линейному разрешению литографического оборудования, примерно равному 0,180 мкм.

слоев металла до 6-7. минимальное количество масок 22-24

  • AMD Athlon XP (Palomino)
  • Intel Pentium III (Coppermine)

0,13 мкм

130 нм - техпроцесс, соответствующий уровню технологии, достигнутому в 2000-2001 годах ведущими компаниями-производителями микросхем. Соответствует линейному разрешению литографического оборудования, примерно равному 130 нм.

  • Intel Celeron Tualatin-256 - октябрь 2001
  • Intel Pentium M Banias - март 2003
  • Intel Pentium 4 Northwood - январь 2002
  • Intel Celeron Northwood-128 - сентябрь 2002
  • Intel Xeon Prestonia и Gallatin - февраль 2002
  • AMD Athlon XP Thoroughbred, Thorton и Barton
  • AMD Athlon MP Thoroughbred - август 2002
  • AMD Athlon XP-M Thoroughbred, Barton и Dublin
  • AMD Duron Applebred - август 2003
  • AMD K7 Sempron Thoroughbred-B, Thorton и Barton - июль 2004
  • AMD K8 Sempron Paris - июль 2004
  • AMD Athlon 64 Clawhammer и Newcastle - сентябрь 2003
  • AMD Opteron Sledgehammer - июнь 2003
  • МЦСТ Эльбрус 2000 (1891BM4Я) - июль 2008
  • МЦСТ-R500S (1891ВМ3) - 2008, 500 МГц

Техпроцессы менее 100 нм

90 нм (0,09 мкм)

90 нм - техпроцесс, соответствующий уровню полупроводниковой технологии, которая была достигнута к -2003 годам . Соответствует линейному разрешению литографического оборудования, примерно равному 90 нм.

  • Intel Pentium 4 (Prescott)
  • МЦСТ-4R (готовится к выпуску, 4 ядра, 1 ГГц)
  • AMD Turion 64 X2 (мобильный)

65 нм (0,065 мкм)

65 нм - техпроцесс, соответствующий уровню технологии, достигнутому к 2004 году ведущими компаниями-производителями микросхем. Соответствует линейному разрешению литографического оборудования, примерно равному 65-70 нм.

  • Intel Pentium 4 (Cedar Mill) – 2006-01-16
  • Intel Pentium D 900-series – 2006-01-16
  • Intel Core – 2006-01-05
  • Intel Xeon – 2006-03-14
  • AMD Turion 64 X2 (мобильный)
  • AMD Turion 64 X2 Ultra (мобильный)
  • STI Cell – PlayStation 3 – 2007-11-17
  • Microsoft Xbox 360 "Falcon" CPU – 2007–09
  • Microsoft Xbox 360 "Opus" CPU – 2008
  • Microsoft Xbox 360 "Jasper" CPU – 2008–10
  • Microsoft Xbox 360 "Jasper" GPU – 2008–10
  • Sun UltraSPARC T2 – 2007–10
  • OMAP 3 – 2008-02

50 нм (0,050 мкм)

50 нм - техпроцесс, соответствующий уровню технологии, достигнутому к 2005 году ведущими компаниями-производителями микросхем. Соответствует линейному разрешению литографического оборудования, примерно равному 50 нм.

45 нм (0,045 мкм)

45 нм - техпроцесс, соответствующий уровню технологии, достигнутому к -2007 годах ведущими компаниями-производителями микросхем. Соответствует линейному разрешению литографического оборудования, примерно равному 45 нм. Для микроэлектронной промышленности стал революционным, так как это был первый техпроцесс, использующий технологию high-k/metal gate (HfSiON/TaN в технологии компании Intel), для замены физически себя исчерпавших SiO 2 /poly-Si

  • AMD Phenom II X2, X3, X4, X6
  • XCGPU (APU от GlobalFoundries , с 2010)

32 нм (0,032 мкм)

32 нм - техпроцесс, соответствующий уровню технологии, достигнутому к -2010 годах ведущими компаниями-производителями микросхем. Соответствует линейному разрешению литографического оборудования, примерно равному 32 нм. Осенью 2009 компания Intel находилась на этапе перехода к этому новому техпроцессу . С начала 2011 начали производится процессоры по данному техпроцессу.

28 нм (0,028 мкм)

  • Многоядерные процессоры Snapdragon фирмы Qualcomm .

22 нм (0,022 мкм)

22 нм - техпроцесс, соответствующий уровню технологии, достигнутому к - гг. ведущими компаниями - производителями микросхем. Соответствует линейному разрешению литографического оборудования, примерно равному 22 нм . 22-нм элементы формируются при литографии путем экспонирования маски светом длиной волны 193 нм

Техпроцесс атомарного уровня

Учёные нашли способ создания рабочего транзистора , размер которого соответствует лишь одному атому . Исследователи из Университета Южного Уэльса в Австралии смогли создать и управлять технологией на основе атома фосфора , тщательно размещённого на полупроводниковом кристалле . Результаты, как сообщается, приведут к созданию техпроцессов атомарного уровня примерно к 2020 году и могут лечь в основу будущих квантовых компьютеров .

См. также

  • Международный план по развитию полупроводниковой технологии (ITRS) - набор плановых документов мировых лидеров полупроводниковой промышленности, для международного планирования производства, исследований и соответствия технологий и техпроцессов в рамках индустрии.

Литература

  • Готра З. Ю. Справочник по технологии микроэлектронных устройств. - Львов: Каменяр , 1986. - 287 с.
  • Бер А. Ю., Минскер Ф. Е. Сборка полупроводниковых приборов и интегральных микросхем. - М: «Высшая школа», 1986. - 279 с.

Ссылки

  • Тасит Мурки. Закон Мура против нанометров. Всё, что вы хотели знать о микроэлектронике, но почему-то не узнали… // ixbt.com

Примечания

  1. В качестве средств индивидуальной защиты применяют спецодежду, изготовленную из металлизированной ткани (комбинезоны, халаты, передники, куртки с капюшонами и вмонтированными в них защитными очками)

    - В. М. Городилин, В. В. Городилин §21. Излучения, их действия на окружающую среду и меры борьбы за экологию. // Регулировка радиоаппаратуры. - Издание четвёртое, исправленное и дополненное. - М .: Высшая школа, 1992. - С. 79. - ISBN 5-06-000881-9

  2. Миниатюрность и чистота
  3. Intel Museum – From Sand to Circuits
  4. Intel 32nm Logic Technology (англ.)
  5. процессоры Intel по 32-нм технологии
  6. New Details on Intel’s Upcoming 32nm Logic Technology (англ.)

Если не указано иное.


Технорма наиболее сложных микросхем. Падает также их цена - правда, не вдвое (исходя из примерно половинной площади чипа для данного числа транзисторов - за исключением последних техпроцессов…), а примерно в 1,5 раза при каждом переходе на очередной техпроцесс (т. к. он сложнее и дороже на каждую единицу площади). По какой причине физическая длина затвора (не только для ЦП Intel) оказывается меньше технормы - читайте ниже.


Технорма для ЦП Intel. По мнению компании, 15-нанометровый техпроцесс, возможно, станет первым, где будет применяться «экстремальный» ультрафиолет (EUV), если он окажется экономически оправданным. До сих пор чрезвычайная дороговизна (даже по меркам фотолитографов) сдерживала его внедрение, которое 10 лет назад пророчили уже для 45-нанометрового процесса. Основные причины - необходимость в совершенно новом источнике излучения, новой зеркальной (а не линзовой) оптике и полном вакууме в рабочей зоне.


Площади кристаллов наиболее сложных микросхем процессоров и памяти на указанный год. В 1990-е годы тенденция увеличения площади на 14% в год (чёрная линия) остановлена. Впрочем, самые сложные кристаллы ГП и серверных ЦП достигают 400–500 мм², но и эта цифра не растёт уже лет пять, хотя почти все производители уже успели с 90-х перейти на 300-миллиметровые пластины, позволяющие производить с той же массовостью и ценой даже такие большие кристаллы.


Число транзисторов на кристалле ИС как следствие уменьшения технормы и увеличения площади кристалла. Видно, что первоначальная тенденция 2-кратного роста в год, по которой строил свои рассуждения Гордон Мур, была в прямом смысле весьма крутой. Но с 70-х и микросхемы ДОЗУ (теперь - и флэша), и процессоры продолжили её с меньшими темпами - 58% и 38% в год.

Число слоёв, требующих маски. До введения двойного шаблонирования равно числу самих масок. Каждая маска требует 7–8 производственных операций, а также контрольно-измерительные и транспортные. Примерно 20% слоёв в каждом кристалле (элементы транзисторов и первые слои дорожек и изоляторов) являются «критическими» - т. е. выполнены с номинальной технормой для данного техпроцесса. Остальным достаточно быть всё более грубыми по мере удаления вверх от транзисторов (см. иллюстрацию воздушных зазоров), т. к. верхние уровни металла, как правило, поставляют питание и синхронизацию, так что особой плотности проводников им не требуется. Таким образом наиболее дорогие технологии изготовления применяются только для части слоёв, но даже это не спасает от растущей сложности техпроцессов, особенно с 2000-х годов. 20 лет назад такое уже было с технологией БиКМОП (гибрид биполярной и КМОП), из-за чего от неё отказались (правда, Intel успела выпустить на ней 486DX4, Pentium и P.Pro, а Sun Microsystems - SuperSPARC). Сегодня от взрывного роста сложности не страдают пока только динамическая и (в меньшей степени) флеш-память. Сверхбыстрым SiGe-чипам высокая стоимость не сильно мешает, т. к. их изготавливают малыми партиями для военных и авиакосмических применений. В среднем число масок увеличивается на 2 с каждым техпроцессом, т. е. примерно за 2 года.



Плотность дефектов на 1 см² площади кристалла от наиболее продвинутых фабов при финальном тестировании. Жирными цифрами указана технорма в микронах, в скобках - диаметр пластин.


Снова плотность дефектов, но конкретно для чипов Intel. По её утверждению - также отложенная по логарифмической шкале (как и на графике выше), только без шкалы. ;) Данные для 45- и 32-нанометрового техпроцессов показаны не до конца - видимо, коммерческая тайна.


Стоимость постройки наиболее современного на указанный год завода (или его стоимость после обновления) возросла в 70 раз за 30 лет, а цена каждого выпускаемого ими транзистора упала в 2000 раз. Пустые квадраты означают примерные цифры. Тут не хватает графика производственной мощности, но надёжных данных по ней на весь период нет. Впрочем, известно, что современные фабы выпускают от 10 до 60 тыс. пластин в месяц в случае логики и ещё в 2–3 раза больше для памяти. Выпуск пластин удваивается примерно каждые 5 лет, помимо увеличения их диаметра. А «удвоение стоимости фаба каждые 4 года» даже было названо «вторым законом Мура» (иначе - законом Рока, Rock’s law), который в конце 90-х также пришлось поправить - каждые 5 лет. Наиболее дорогой станок - фотолитограф - дорожает с такой же скоростью: первый коммерческий проекционный степпер (1973 г.) стоил 210 тыс. долларов, а современный сканер - 40–50 млн..


Удельные цены пластины и разных видов микросхем за единицу их наиболее ценных количественных характеристик. Чёрная линия указывает ежегодное падение средней цены на 35% или в 1,54 раза. Больше возможностей за ту же цену чипов позволяли расти продажам микросхем на 15% в год с 1960 по 2000 гг.. Однако лопнул пузырь доткомов, а через 8 лет грянул мировой кризис, что прекратило рост продаж (но не параметров). В 2010-х за счёт популярности смартфонов и планшетников возможен рост примерно на 5% в год, если, конечно, опять что-то не стрясётся…


Стоимость разработки сложной микросхемы в зависимости от технормы (данные IBS, GlobalFoundries). Видно, что до 45 нм она каждый раз удваивалась, а начиная с 45 нм - увеличивается примерно в 1,5 раза. Абсолютные цифры уже выросли настолько, что и среди бесфабричных компаний мелким игрокам на рынке ЦП делать нечего.

Средняя стоимость производства пластины для КМОП-логики в 2003 г. на фабах Сев. Америки (в долларах):

Диаметр пластины, мм Технорма, мк Число маскируемых слоёв
8 10 12 14 16 18 20 22 24 26
100 2 145 180 210
125 165 200 230
150 190 230 270
1,2 260 300 340
0,8 375 420 465
200 450 500 560
0,5 560 615 675
0,35 700 760 830
0,25 890 980 1070 1155
0,18 1320 1440 1565
0,13 1815 1970 2130
300 2500 2690 2890
0,09 2860 3065

Цены округлены и не учитывают финишных операций (тестирования, резки и корпусировки). По цифрам видно, почему производителям выгодно переходить на новые техпроцессы и бо́льшие диаметры пластин - дорожание производства каждой новой пластины окупается бо́льшим числом получаемых с неё чипов. Впрочем, переход на больший диаметр означает замену почти всего оборудования в чистой комнате и усиление потока сверхчистых рабочих материалов (особенно воды), поставляемых с сервисного этажа. А переход на новый техпроцесс, даже «несвежий», поначалу (пока его не отладят) даст меньший выход годных. Впрочем, Intel и тут отличилась, применяя на своих фабах по всему миру методику точного копирования (Copy Exactly): как только техпроцесс доведён до массового производства на одном из экспериментальных фабов в Хиллсборо (штат Орегон, США), он переносится на производственные фабы, копируя абсолютно всё до мелочей - список и тип станков, их параметры («рецепты») и программы, действия персонала… Даже ручные инструменты для монтажных и пуско-наладочных работ используются тех же видов. Звучит несколько параноидально, но Intel может перенести техпроцесс с одной фабрики на другую без ожидаемого в таких случаях ущерба для себестоимости всего за несколько месяцев, и ещё быстрее - производство чипа при уже готовом техпроцессе.

Новый шаг

В начале лета 2011 г. Intel объявила, что менее чем через год будет готова массово выпускать процессоры с технормой 22 нм (сначала это будет архитектура Ivy Bridge , основанная на современной Sandy Bridge). Согласно принятому в компании 2-летнему циклу «тик-так» (попеременному ежегодному выпуску новой микроархитектуры и нового техпроцесса) изначально планировалось выпустить Ivy Bridge в конце 2011 г. (также как Sandy Bridge - в 2010-м). Однако Intel преследуют задержки: презентация Sandy Bridge состоялась только этим январём, а недавно компания решила задержать выход Ivy Bridge как минимум до весны 2012 г.. Являются ли тому причиной сложности с техпроцессом - неясно. Это при том, что первые микросхемы СОЗУ с новыми 22-нанометровыми транзисторами Intel представила ещё в сентябре 2009 г..

Никаких технологических революций по части литографических методов не предвидится - помимо того, что длина волны 193 нм требует иметь не только иммерсионные сканеры, но и как минимум двойное шаблонирование. Это само по себе является любопытным, ибо ещё 5 лет назад эксперты в один голос говорили, что для таких длин волн надо переходить на новые виды литографии, что скачкообразно увеличивает сложность и стоимость техпроцесса.

Но самую большую сенсацию (разумеется, с подачи маркетологов компании) назначили на серьёзное изменение конструкции транзисторов, назвав их трёхмерными или трёхзатворными. Точнее, их надо называть FinFET - полевой транзистор с затвором-«плавником». Впрочем, за счёт утончения канала и размещения его вертикально их число может быть более одного для увеличения общей площади между затвором и каналами. Такой транзистор можно назвать многозатворным (multigate FET, MuGFET), хотя каждый его канал скорее будет управляться общим затвором. В результате к нему нужно будет приложить меньшее напряжение, чтобы переключить транзистор, скорость переключения будет больше, а утечка - меньше, т. к. теперь она возможна лишь через узкую нижнюю грань канала.


Транзистор на цельной подложке (какую до сих пор использует Intel) имеет утечку тока из канала, когда в нём полем затвора формируется обращённый слой. Подложка (даже если она заземлена) вытягивает часть носителей заряда в обеднённый слой. ▼

Уменьшить утечки можно технологией КНИ, в данном случае - частично обеднённой (Partially Depleted, PD SOI). Тут изолятор отсекает подложку, но остаточный слой под каналом («плавающее тело») всё ещё приводит к утечкам, хоть и не таким большим. Эта технология широко используется прежде всего из-за относительной дешевизны. ▼

Более продвинутая версия - полностью обеднённый КНИ (Fully Depleted, FD SOI). Тут исток, сток и область канала истончаются так, что плавающему телу не остаётся места. Проблема утечки решается, но (по мнению Intel) с 10-процентным увеличением цены чипа, поэтому её не используют широко. ▼

А вот и решение Intel (показанное сбоку, в отличие от предыдущих сечений вдоль канала) - поставить канал вертикально и окружить его затвором с трёх сторон из четырёх. Плавающего тела нет, утечек нет, площадь обращённого слоя больше, а т. к. дополнительные маски не требуются, цена - всего на 2–3% выше. Опять же, со слов Intel.

«Трёхзатворный» транзистор на деле означает транзистор с каналом, окружённым затвором (через прослойку в виде тонкого изолятора, обозначенного жёлтым) с трёх сторон - по сравнению с планарным, где поверхность сопряжения представляет собой одну плоскость.

Вверху показаны 32-нанометровые планарные транзисторы, внизу - 22-нанометровые 2- (в левом нижнем углу) и 6-затворные «трёхмерные».

4 поколения «плавниковых» транзисторов Intel - демонстрация конструкции (2002 г.), многозатворность (2003), ячейки СОЗУ (2006) и адаптация металлического «затвора последним» (2007).

Конечно, Intel сразу похвасталась, что по сравнению с 10-микронным техпроцессом от i4004 22-нанометровый транзистор работает в 4000 раз быстрее, потребляя в 5000 меньше энергии и стоя в 50 000 меньше. Более важно, что потребовалось 5 лет для разработки и ещё 5 (как теперь выяснилось…) для адаптации к массовому производству. При этом Intel честно указывает на трудности реализации новой технологии: необходимость законцовок для затвора, проблемы с ёмкостью и изменчивостью параметров, трудности равномерной полировки и травления более толстых структур и передача каналом механического напряжения под затвор, и пр.. Надо полагать, все эти проблемы решены хотя бы удовлетворительно, иначе показанные чипы бы не работали. Вопросы о коэффициенте выхода годных и фактической себестоимости пока остаются открытыми. Конкуренты же (TSMC и Global Foundries) пока объявили лишь о начале разработки FinFET’ов для своих 14-нанометровых процессов, которые будут готовы где-то в 2014 г.…


Вольтамперные характеристики (ВАХ) планарного (чёрная линия) и двух трёхмерных (синие) n-канальных транзисторов. Ток при нуле на затворе в идеале должен быть нулевым. Чем он меньше - тем меньше потребляет процессор, в т. ч. при простое. Пороговое напряжение - такое, при котором транзистор переключается (в данном случае - 0,33 В с током в 10% от номинала). Оно должно быть как можно меньше, чтобы транзистор срабатывал быстрее и при меньшем напряжении питания (тут - 1 В). Переход на трёхмерный затвор позволяет либо при том же напряжении уменьшить утечку при закрытом канале (нижняя линия), либо увеличить скорость его открытия (верхняя линия), заодно снизив напряжение.


Зависимость времени переключения от напряжения питания (в идеале - гипербола) для 32-нанометровых (чёрная линия) и 22-нанометровых (серая) планарных, а также 22-нанометровых объёмного (синяя) транзисторов. Последний позволяет при той же скорости снизить напряжение питания на 0,2 В, что в теории уменьшит потребление в 1,56 раза, а по мнению Intel - более чем вдвое. Если же требуется повысить частоту, новые транзисторы принесут небольшую пользу при номинальном одном вольте (обещано ускорение на 18% относительно 32 нм), зато при 0,7 В (видимо, таково будет напряжение для мобильных чипов) дадут аж 37-процентное ускорение. Более того, если судить по этим графикам из презентации, то ускорения будут на 22% и 59% - т. е. 1/(1−0,18) и 1/(1−0,37) , как и следует считать. Неужели мы застукали технарей Intel на элементарных ошибках при расчётах с процентами?..

Разбор нанометров

Самое время разобраться, что понимается под технормой. Попытка дать определение этому важнейшему термину не зря поставлена почти в конец статьи. Когда-то под технормой понимался самый малый по длине или ширине элемент, формируемый данным техпроцессом. Когда технорма стала меньше длины волны, появилось два отдельных определения - для регулярных чипов (память, программируемые матрицы, фотодатчики - в т. ч. со встроенными логическими блоками) и нерегулярных (сложная логика, в т. ч. содержащая кэши, буферы и т. п.). Для первых - минимальный полушаг линейно-регулярной структуры, для вторых - минимальная ширина дорожки нижнего уровня металла (что примерно вдвое длиннее затвора транзистора).

Однако с недавних пор и это перестало иметь значение. Дело в том, что число фабрик, производящих микросхемы по самым современным техпроцессам, неуклонно снижается. При этом ни одна фирма, производящая оборудование для производства полупроводников, их самих не делает - все производители микросхем покупают станки у примерно одних (тоже не очень многочисленных) фирм. Очевидно, собираемые из станков и настроек техпроцессы на фабах получились бы как две капли воды похожи, но это имеет смысл лишь для нескольких фабов одной компании, а таких компаний в мире - единицы. Так что каждая фирма пытается удовлетворить заказчиков чем-то особенным, выпускаемым на почти стандартном оборудовании. И вот тут под нож пошли те самые нанометры…

¹ - Оптимизация по энергоэффективности
² - С иммерсионной литографией
³ - С иммерсионной литографией и низкопроницаемыми межслойными диэлектриками

В этой таблице указана площадь (в кв. микронах) 6-транзисторной ячейки СОЗУ, которой обычно меряют плотность размещения транзисторов для логических микросхем. (Это само по себе любопытно, учитывая, что СОЗУ используются в разнообразных регистрах, буферах и кэшах - т. е. одно-, а чаще даже двухмерно регулярных схемах, а не в синтезированной логике, почти не имеющей повторений. И тем не менее…) А самое главное, что это всё - «45-нанометровые» (как утверждают эти компании) процессы!

Более того, ITRS (International Technology Roadmap for Semiconductors - международный технологический план для [производителей] полупроводников, составляемый экспертами из крупнейших фирм и их ассоциаций) регулярно выпускает рекомендации по основным параметрам техпроцессов для микроэлектронных компаний, т. е. для самих себя. А теперь посмотрим, как эти рекомендации соблюдаются:

Краткий ответ - никак. Дело дошло до того, что на недавнем форуме IEDM технорму признались считать маркетинговым понятием - т. е. не более чем цифрой для рекламы. Фактически, сегодня сравнивать техпроцессы по нанометрам стало не более разумно, чем 10 лет назад (после выхода Pentium 4) продолжать сравнивать производительность ЦП (пусть даже и одной программной архитектуры) по гигагерцам.

Разница в техпроцессах при одинаковых технормах активно влияет и на цену чипов. Например, AMD использовала разработанный совместно с IBM 65-нанометровый процесс с SOI-пластинами, двойными подзатворными оксидами, имплантированным в кремний германием, двумя видами напряжённых слоёв (сжимающим и растягивающим) и 10 слоями меди для межсоединений. 65-нанометровый техпроцесс у Intel включает относительно дешёвую пластину из цельного кремния, диэлектрик одинарной толщины, имплантированный в кремний германий, один растягивающий слой и 8 слоёв меди. По примерным подсчётам Intel потребует для своего процесса 31 маску, а AMD - 42.

В результате из-за значительной разницы в технологиях напряжённого кремния и типа подложки (SOI-пластины стоят примерно в 3,6 раз дороже простых) конечная цена 300-миллиметровой пластины для AMD будет ≈4300 долларов, что на 70% дороже цены для Intel - ≈2500 долларов. Кстати, ЦП Intel как правило оказываются ещё и с меньшими площадями кристаллов, чем аналогичные по числу ядер и размеру кэшей от AMD. Теперь ясно, почему Intel показывает завидную прибыль, а AMD недавно едва держалась на ногах.


Данные с IEDM о техпроцессах к 2010 году. Источник - .

По докладам на IEDM можно составить сводную таблицу с параметрами последних техпроцессов ведущих компаний. Из неё видно, что все техпроцессы с «мелкой» технормой (process node) перешли на двойное шаблонирование (DP) и иммерсионную литографию, а напряжение питания (V dd) давно остановилось на 1 вольте (потребление транзистором энергии и без этого продолжает падать, но не так быстро). Куда интересней сравнить длину затвора (L Gate), шаг затвора с контактом (Contacted Gate Pitch) и площадь ячейки СОЗУ (SRAM Cell Size).

Тут надо указать, что кэши изготовленного с той же технормой ЦП той же фирмы имеют площадь ячейки на 5–15 % больше указанной в случае L2 и L3, и на 50–70 % больше для L1. Дело в том, что сообщаемые на IEDM цифры площади тоже являются несколько рекламными. Они верны лишь для одиночного массива ячеек и не учитывают усилители, буферы ввода-вывода, декодеры адреса, резервы размера для увеличения надёжности и размены плотности на скорость (для L1).

Для простоты возьмём только «скоростные» (High Performance) процессы Intel. Для 130 нм длина затвора составляла 46% технормы, а сегодня - 94%. Тем не менее, шаг затвора уменьшился в те же 4 раза, что и технорма. Однако если разделить площадь ячейки СОЗУ на квадрат технормы, то старым ячейкам нужно ≈120 таких квадратиков, а новым - уже ≈170. У AMD с её SOI-пластинами - примерно так же. На «65-нанометровом» техпроцессе фактический минимальный размер затвора может быть снижен до 25 нм, но шаг между затворами может превышать 130 нм, а минимальный шаг металлической дорожки - 180 нм. Начиная примерно с 2002 г. размеры транзисторов уменьшаются медленней технорм. Выражаясь языком современного рунета - нанометры уже не те…

А теперь, вооружившись цифрами об этом бардаке сложном микроэлектронном хозяйстве, вернёмся к обещанным Intel «22 нанометрам». По предварительным цифрам выглядит неплохо: площадь ячейки - 0,092 кв.мк. для «быстрой» и 0,108 для энергоэффективной версии процесса (данные 2009 г. для тестовой микросхемы СОЗУ на 22 нм). Для быстрой версии это эквивалентно 190 элементарным квадратам - чуть хуже, чем для прошлых технорм. Но Intel продолжит использовать 193-нанометровую иммерсионную литографию и для 14 нм, возможно - с тройным шаблонированием. А для 10 нм - с пятерным (5 экспозиций и одно скругление распорок). При этом для 10-нанометрового процесса стоимость стадий литографии на единицу площади будет примерно вшестеро больше, чем для 32-нанометрового, а вот окажется ли площадь меньше в 10 раз (как при линейном уменьшении) - сомнительно. Тут уже даже неважно, почему Intel решила, что следующие два её процесса будут иметь технормы 14 и 10 нм, а не 16 и 11, как можно ожидать (каждая следующая - в √2 раз меньше). Ведь нанометры теперь мало что значат… Что дальше?

Если вернуться к обзорным графикам, последние несколько из них не зря касаются цены или себестоимости. Если по ним попытаться экстраполировать тенденции на будущее, то окажется, что через некоторое время в мире останется лишь 2–3 компании, способные разрабатывать и внедрять самые современные техпроцессы. Им это будет влетать в 11-значные суммы в долларах, окупить которые можно, лишь если продукция будет продаваться по всему миру, что возможно только при полной монополизации - одна платформа, одна архитектура, одна концепция… Для необходимой конкуренции избыточности места уже не останется - нас всего 7 миллиардов, и это число растёт совсем не так быстро, как цены на фабы и техпроцессы.

Более того, наверняка будет уменьшаться и число бесфабричных компаний. Дело даже не в том, что немногие крупные фирмы покроют своими чипами почти все потребности почти для всех. Даже если вы разработали что-то уникальное - стоимость внедрения может оказаться такой высокой, что вы не окупите её всеми своими продажами. И это тоже есть следствие массовых технологий:

Формируемое маской изображение перед попаданием на пластину оптически уменьшается в 4 раза до стандартной полосы засвета размером ≈24 мм (для современных литографов), а размер самой маски составляет около 18×12 см. Однако методы OPC и PSM требуют от неё иметь разрешение не хуже формируемого, что уже для 65 нм поднимает стоимость набора масок до сотен тысяч долларов, а для самых новых техпроцессов - до пары миллионов.

Теперь представим, что нам - маленькой, но гордой фирме - надо выпустить систему-на-кристалле, разработанную для новых планшетов и смартфонов. Маркетологи говорят, что из-за сильной конкуренции со стороны угадайте-какой компании устройства с нашим ЦП точно купят 100 000 человек. Процессор на 28-нанометровом техпроцессе (более старый проиграет гонку прожорливости) будет иметь себестоимость около 15 долларов, но если учесть цену масок (пусть и разделённую на 100 000), то будет уже 35 долларов. И это не учитывая выпуск нескольких ревизий для исправления ошибок и оптимизации параметров. Ревизий для нового сложного чипа нужно штук пять - и для каждой (после первой) надо обновлять значительную долю масок из всего набора.

В итоге окажется, что даже не допуская ни одной ошибки в рыночной стратегии, мы окупим нашу микросхему, лишь рассчитывая на производство и сбыт устройств с ней миллионами, иначе её никто не купит из-за цены. Недавно сотрудник компании Cadence (выпускающей специализированные САПРы для разработки микросхем) рассказал, что стоимость перехода с 32–28 на 22–20 нм сильно выросла по сравнению с предыдущими шагами. Микроэлектронные компании инвестировали в НИОКР по 32–28 нм 1,2 млрд. долларов и 2–3 млрд. для 22–20 нм. Проектирование чипа стоит 50–90 млн. долларов для 32 нм и 120–500 млн. долларов для 22 нм. Компенсация затрат на разработку и производство потребует продать 30–40 млн. 32-нанометровых кристаллов и 60–100 млн. на 20 нм.

Впрочем, и крупным компаниям, товары которых покупают как раз миллионами, тоже придётся с трудом объяснять, зачем покупать очередной процессор с терафлопсами и память на терабайты - учитывая, что и прошлые модели делают всё как надо. Возможно, с некоторого момента не поможет и принудительная плата за новинки - например, как следствие досрочно отменённой поддержки старых моделей или их запрограммированного износа и отключения…

Мировая микроэлектроника, следуя закону Мура, всегда опровергала регулярно выдвигаемые инженерами опасения, что мы вот-вот упрёмся в непреодолимые физические ограничения, после которых отрасль либо застрянет навсегда, либо будет вынуждена перейти на принципиально новые материалы и эффекты. Но как бы не оказалось так, что реальным тормозом будет эффект глобального насыщения: после бурного роста менять каждые год-два процессоры и память как обувь и одежду - на новые, подходящие размеры - уже не потребуется.

Другая проблема в том, что даже в тех применениях, где производительность и память никогда не будут лишними, качественный скачок (вместо очередного удвоения регистров, векторов, кэшей и ядер) может быть лишь при переходе на новый вид элементной базы - графеновой, фотонной, спинтронной, квантовой или прочей «волшебной». Но для её разработки, адаптации к массовому производству и (особенно!) построению самого производства потребуется огромное количество денег - куда большее цены современного фаба. Вполне возможно, лет через 10 (когда нынешнюю литографию растягивать далее уже не получится) никакие частные фирмы это не потянут. А какое из государств даже сегодня захочет профинансировать высокорисковые технологии микроэлектроники будущего?

Добрый день, уважаемые любители компьютерного железа. Сегодня мы поговорим о том, что такое техпроцесс в процессоре. На что влияет данная величина, как помогает при работе компьютера, за что отвечает и так далее.

Начать хотелось бы с того, что процессоры состоят из транзисторов. Под крышкой теплораспределителя находится сам кристалл ЦП на кремниевой подложке, в состав которого входит миллиарды миниатюрных транзисторов. О внутренностях CPU – .

Их габариты настолько крошечные, что измеряются в нанометрах. Отсюда и берет свое начало величина.

Возьмем к примеру компанию AMD и ее процессорные ядра семейства Bulldozer и Liano, выполненные по нормам 32 нм. На площади кристалла размером всего 315 мм2 размещено 1,2 млрд транзисторов. Если сравнивать с более старой технологией 45 нм, в которой на подложке 346 мм2 находилось «только» 900 млн транзисторов – прогресс очевиден.

Уменьшение, а точнее оптимизация техпроцесса дает следующие преимущества:

  • повышение итоговой производительности при идентичных характеристиках двух устройств (первый и второй процессор имеют, к примеру, 4 ядра мощностью 3 ГГц);
  • снижение энергопотребления;
  • возможность добавить дополнительные рабочие инструкции;
  • повышение частот;
  • увеличение количества ядер на одной подложке (они занимают меньше места);
  • снижение затрат на изготовление чипов (на одной кремниевой болванке помещается больше процессоров).
  • Увеличение кэш-памяти процессора (больше места на кристалле для установки модуля)

Эволюция техпроцесса

Если покопаться в истории полупроводников 70‑х и 80‑х годов, то можно встретить устройства, разработанные по нормам техпроцесса 3 мкм. К такому технологическому прорыву впервые пришли компании Zilog в 1975 году и Intel в 1979 году соответственно.

Компании активно развивали технологии и совершенствовали литографическое оборудование.В начале-середине 90‑х, прогресс достиг новых высот и на рынке стали появляться модели вроде Intel Pentium Pro и MMX, а также знаменитая «улитка» Pentium II.

Все изделия выполнялись по нормам процесса 0,35 мкм, т.е. 350 нм. Буквально через 10 лет технологии позволили сократить размер транзистора втрое, до 130 нм, и это был прорыв.Однако культовый период пришелся на 2004 год, когда инженеры начали осваивать для себя 65 нм. Тогда мир увидел знаменитые Pentium 4, Core 2 Duo, а также AMD Phenom X4 и Turion 64 x2. В это же время рынок наводнили чипы Falcon и Jasper для Xbox 360.

Текущий период разработки

Плавно подбираемся к современным разработкам и начнем со все еще актуального процесса 32 нм – эпоха Intel Sandy Bridge и AMD Bulldozer.

Синему лагерю удалось создать кристалл с частотой до 3,5 ГГц, на который можно поместить до 4 ядер и графический чип частотой до 1,35 ГГц. Также в чип встроили , PCI‑E контроллер версии 2.0, поддержку памяти DDR3. Все ядра получили по 256 КБ кэша L2 и до 8 МБ L3. И все это размещалось на подложке 216 мм2

Красные же умудрились разместить на подложке до 16 процессорных ядер частотой до 4 ГГц с поддержкой передовых на 2011 год инструкций x86, ввести поддержку Hyper Transport и оснастить чипы поддержкой DDR3.

Переход на 22 нм осуществил только Intel, добавив своим продуктам Ivy Bridge и Haswell вроде Core i5, i7 и Xeon более высокую производительность при сниженном энергопотреблении. Архитектура не претерпела значительных изменений.
Литография 14 нм подарила миру в 2017 году новый виток противостояния между AMD Ryzen и Intel Coffee Lake. В первом случае имеем совершенно новую архитектуру и признание во всем мире после многолетнего застоя. Во втором же – увеличение ядер на подложке в десктопном сегменте.

Дополнительно можно отметить снижение энергопотребления, добавление новых инструкций, снижение размера кремниевой пластины и повышение мощности в станах двух лагерей.Теперь ждем выход чипов, построенных по нормам 10 нм, который на данный момент доступен лишь в мобильном сегменте (Quallcomm Snapdragon 835/845, Apple A11 Bionic).

Зачем уменьшать техпроцесс?

Как я уже говорил выше, оптимизация литографии ведет к размещению большего числа транзисторов на подложке меньшего размера. Говоря простым языком, на одной площади можно расположить не 1, а 1,5 млрд транзисторов, что ведет к повышению производительности без увеличения тепловыделения.

Таким образом устанавливается больше ядер, вспомогательных компонентов и систем управления шинами.

Коэффициент умножения системной шины процессора также возрастает, а значит и его мощь растет.

На данный момент оптимальными процессорами, которые вобрали в себя самое лучшее из современных технологий, можно назвать Intel 8700k и AMD Ryzen 1800x. Есть конечно и более новый вариант от «красных» в лице Ryzen 2700 (12 нм), но его производительность немного скромнее.
Надеемся, вы поняли суть, которую я хотели донести до вас в этой статье. В следующих обзорах мы коснемся таких понятий как , охлаждение и прочих животрепещущих вопросов, которые требуют пояснения. Оставайтесь с нами и публикациями. Удачи!

На этой неделе прошло собрание представителей компаний, которые используют для проектирования конструкторские пакеты компании Synopsys. Доклады были посвящены проблемам дальнейшей судьбы полупроводниковой отрасли. Точнее, рассматривались вопросы, связанные с дальнейшим снижением технологических норм. Мы уже слышали, что производители, например, компания TSMC, планирует в этом году начать строить завод для выпуска 3-нм чипов, как и сообщалось о разработке прототипов 2-нм транзисторов. Проблема же заключается в том, что экономический и другие эффекты от снижения технологических норм исчезают быстрее, чем снижается размер элемента на кристалле. И всё хорошее может прекратиться уже на стадии выпуска 5-нм чипов, не говоря о выпуске решений с меньшими нормами.

реклама

Так, представитель компании Qualcomm сообщил, что при переходе с 10-нм производства на 7-нм рост скорости переключения транзисторов может снизиться с предыдущих 16 % прироста до минимального уровня. Экономия по потреблению с 30-% прироста снизится до 10-25 %, а снижение площади чипов уменьшится с 37 % до 20-30 %. При переходе на 5-нм техпроцесс площадь продолжит уменьшаться с хорошим уровнем масштабирования, но что касается получения выгод по производительности и потреблению, то в этом уверенности нет. К тому же, структура в виде FinFET транзисторов полностью перестанет работать после технологических норм 3,5 нм. Поэтому, в частности, Samsung готовится уже через два года использовать в рамках 4-нм техпроцесса затворы в виде горизонтальных полностью окружённых нано-проводников (плоских или круглых).

© 2024 Вопросы и ответы